Books

  • J. McNeely and M. Bayoumi, "Power Efficiency and Scalability in Video Compression: Challenges and Constraints for Mobile Multimedia Devices,'' VDM Verlag, Saarbruken, Germany, 2010.
  • M. A. Elgamel and M. A. Bayoumi, "Interconnect Noise Optimization in Nanometer Technologies," Book (Monograph), Springer 2006.
  • G. Cauwenberghs and M.A. Bayoumi,"Learning on Silicon: Adaptive VLSI Neural Systems," edited, Kluwer Academic Publishers, 1999.
  • N. Ling and M.A. Bayoumi,"Specification and Verification of Systolic Arrays," World Scientific, 1999.
  • M.A. Bayoumi and E. Swartzlander, "VLSI Signal Processing Technology," edited, Kluwer Academic Publishers, 1994.
  • M.A. Bayoumi,"VLSI Design Methodologies for DSP Architectures," edited, Kluwer Academic, 1993.
  • M.A. Bayoumi,"Parallel Algorithms and Architectures for DSP Applications," edited, Kluwer Academic Publishers, 1991.

Book Chapters

  • M. Bhattacharyya, Ashok Kumar, and M.A. Bayoumi, "Wireless Sensor Network based Data Fusion and Control Model for an Oil Production Platform,'' chapter 6, Designing Solutions-Based Ubiquitous and Pervasive Computing: New Issues and Trends, IGI Global, Academic Publishing, April 2010, ISBN13: 9781615208432, pp. 113-137.
  • M. Bhattacharyya, Ashok Kumar, and M.A. Bayoumi, "System Framework and Protocols for Ubiquitous Computing Based Monitoring of an Oil Platform,'' chapter 7, Designing Solutions-Based Ubiquitous and Pervasive Computing: New Issues and Trends, IGI Global, Academic Publishing, April 2010, ISBN13: 9781615208432, pp. 138-157.
  • R. Aguilar-Ponce, A. Kumar, J.-L. Tecpanectl-Xihuitl, and M.A. Bayoumi,"Automated Object Detection and Tracking for Intelligent Visual Surveillance based on Sensor Network," book chapter, to appear in Artificial Intelligence and Integrated Intelligent Information Systems: Emerging Techniques and Applications, Idea-Group Press, 2006
  • M.A. Elgamel and M.A. Bayoumi, two chapters in The Electrical Engineering Handbook, Elsevier Academic Press, 2005.
  • M. Elgamel and M.A. Bayoumi, "SoC Interconnect in Deep Submicron," in \f2System-in-Chip for Real-Time Applications, W. Badawy and G. Jullien (eds), Kluwer Academic Press, 2002.
  • M.A. Bayoumi,"VLSI DSP Technologies: Current Developments," VLSI Signal Processing Technology, M.A. Bayoumi and E. Swartzlander, Eds., Kluwer, 1994.
  • M.A. Bayoumi and N.A. Ramakrishna, "Sphinx: A High Level Synthesis System for ASIC Design," VLSI Design Methodologies, M. A. Bayoumi, Editor, Kluwer, 1993.
  • N. Ling and M.A. Bayoumi, "A Formal Design Methodology for Systolic Arrays," Transformational Approaches to Systolic Design, G.M. Megson (Ed), Springer-Verlag, 1992.
  • N. Ling and M.A. Bayoumi,"Mapping Algorithms onto Multi-Dimensional Systolic Arrays," Progress in Computer Aided VLSI Design, G.W. Zobrist (Ed), Ablex Publishing Co., 1990.

Selected Journals

  • Ahmed Abdelgawad, Magdy Bayoumi, "Low Power Distributed Kalman Filter for Wireless Sensor Networks," EURASIP Journal on Embedded Systems, vol. 2011, Article ID 693150, 11 pages, 2011.
  • Ahmed Abdelgawad, Magdy Bayoumi, "Remote Measuring for Sand in Pipelines Using Wireless Sensor Network," Accepted to be published in IEEE Transactions on Instrumentation and Measurement, 2011.
  • Abhijit Sil , Magdy Bayoumi, "A Bit-interleaved 2-port Subthreshold 6T SRAM Array With High Write-ability and SNM-free Read in 90nm" Special Issue on Low Power Design and Verification Techniques - JOLPE (Journal of Low Power Electronics) Vol. 7 No. 1 February 2011.
  • Zaher Merhi, Mohamed Elgamel, Magdy Bayoumi, "A Lightweight Collaborative Fault Tolerant Target Localization System for Wireless Sensor Networks", IEEE Transactions on Mobile Computing, 29 Apr. 2009. IEEE computer Society Digital Library. IEEE Computer Society, doi:10.1109/TMC.2009.81.
  • Peiyi Zhao, Jason McNeely, Pradeep Golconda, Soujanya Venigalla, Nan Wang, Magdy Bayoumi, Weidong Kuang, Luke Downey, "Low-Power Clocked-Pseudo-NMOS Flip-Flop for Level Conversion in Dual Supply Systems", IEEE Transactions on VLSI, vol. 17, no. 9, pp. 1196-1202, September 2009.
  • Peiyi Zhao, Jason McNeely, Weidong Kuang, Zhongfeng Wang, Nan Wang, "Design of sequential elements for low power clocking system," Accepted for publication in IEEE Transactions on VLSI on Nov 30, 2009.
  • N. Wang, Azeez Sanusi, and Magdy A. Bayoumi, "PMCNOC: A Pipelining Multi-Channel Central Caching Network-on-Chip Communication Architecture Design" IEEE on Signal Processing Journal (Special Issue). 2009.
  • C. Akl and M. Bayoumi, ‘‘Reducing Interconnect Delay Uncertainty via Hybrid Polarity Repeater Insertion,’’ IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 16, Issue 9, pp. 1230-1239, Sept. 2008.
  • C. Akl and M. Bayoumi, ‘‘Transition Skew Coding for Global On-Chip Interconnect,’’ IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 16, Issue 8, pp. 1091-1096, Aug. 2008.
  • C. Akl and M. Bayoumi, ‘‘Single-Phase SP-Domino: A Limited-Switching Dynamic Circuit Technique for Low-Power Wide Fan-in Logic Gates,’’ IEEE Trans. Circuits and Systems-II (TCAS-II), vol. 55, no. 2, pp. 141-145, Feb. 2008.
  • Walid M. Elgharbawy, Pradeep Golconda, Abdelhamid G. Moursy, and M.A. Bayoumi,‘‘Novel Adaptive Body Biasing Techniques for Energy Efficient Subthreshold CMOS Circuits,’’ Journal of Low Power Electronics JOLPE, vol. 3, no. 2, pp. 175-188(14), Aug. 2007.
  • Ramy E. Aly and M.A. Bayoumi, ‘‘Low-Power Cache Design Using 7T SRAM Cell,’’ IEEE Transactions on Circuits and Systems II, vol. 54, no. 4, pp. 318-322, April 2007.
  • Peiyi Zhao, Jason McNeely, Pradeep Golconda, M.A. Bayoumi, Kuang W.D., and Bobby Barcenas, ‘‘Low Power Clock Branch Sharing Double-Edge Triggered Flip-Flop,’’ IEEE Transactions on VLSI, vol. 15, no. 3, pp. 338-345, March 2007.
  • Nan Wang and Magdy A. Bayoumi, “System-on-chip Communication Architecture: Dynamic Parallel Fraction Control Bus Design and Test Methodologies”, IEE Proc. Computers and Digital Techniques journal, January 2007.
  • S. Goel, A. Kumar, and M. Bayoumi, "Design of robust, energy-efficient full adders for deep submicron design using hybrid-CMOS logic style,” IEEE Trans. on VLSI, vol. 14, no. 12, pp. 1309-1321, Dec 2006.
  • S. Goel, M Elgamel, M. Bayoumi, and Y. Hanafy, "Design methodologies for high-performance noise-tolerant XOR-XNOR circuits," IEEE Trans. on Circuits and Systems – I, vol. 53, no. 4, pp. 867-878, April 2006.
  • Yijun Li and Magdy Bayoumi, “A Three-Level Parallel High-Speed Low-Power Architecture for EBCOT of JPEG 2000”, IEEE Transactions on Circuits and Systems for Video Technology, September 2006.
  • Yijun Li; Hongyi Wu; Nian-Feng Tzeng; Dimitri Perkins, and Magdy Bayoumi, “MAC-SCC: a medium access control protocol with separate control channel for reconfigurable multi-hop wireless networks”, IEEE Transactions on Wireless Communications, July 2006.
  • Ahmed Shams, Archana Chidanandan, Wendi Pan, and Magdy Bayoumi, “NEDA: a low-power high-performance DCT architecture”, IEEE Transactions on Signal Processing, March 2006.
  • Ramy Aly and Magdy Bayoumi, “Low Power Cache Design Using 7T SRAM Cell”, Accepted and in Press, IEEE Transactions on Circuits and Systems.
  • Mitun Bhattacharyya, Ashok Kumar, and Magdy Bayoumi, "Design and Analysis of Energy Reference Metric in a Cluster Based Wireless Sensor Network," accepted for publication in International Journal of Sensor Networks (IJSNet), InderScience Publishers.
  • Tarek Darwish and Magdy Bayoumi, “Coefficient Elimination Algorithm for Low Energy Distributed Arithmetic DCT Architectures”, Journal of VLSI Signal Processing, vol. 40, pp. 355-369.
  • Magdy A. Bayoumi and Bertrand Zavidovique, “Special Issue on Prototyping for Machine Perception on a Chip”, EURASIP Journal on Applied Signal Processing, vol. 7, pp. 989-992, May 2005.
  • Ruth Aguilar-Ponce, Ashok Kumar, Jose-Luis Tecpanectl-Xihuitl, and Magdy Bayoumi, “Autonomous Decentralized Systems based Approach to Object Detection in Sensor Clusters”, IEICE Transactions on Communication Systems, IEICE/IEEE Joint Special Section on Autonomous Decentralized Systems. Vol. E88-B, No. 12, Dec. 2005, pp. 4462-4469
  • Mohammed Elgamel, Md Ibrahim Faisal, and Magdy Bayoumi, “Noise Metrics in Flip-Flop Designs,” Special Issue on Recent Advances in Circuits and Systems, the Institute of Electronics, Information and Communication Engineers, IEICE, Transactions on Information and Systems, vol. E88-D, pp. 1501-1505, July 2005.
  • Ashok Kumar and Magdy Bayoumi, “A Fast Scheduling Algorithm for Low Power Design”, Journal of Circuits, Systems, and Computers, World Scientific, Sept. 2005.
  • Mohamed A. Elgamel, Ashok Kumar, and Magdy A. Bayoumi, “Efficient Shield Insertion for Inductive Noise Reduction in Nanometer Technologies,” IEEE Trans. on VLSI Systems, vol. 13, no. 3, pp. 401-405, March 2005.
  • Walid Elgharbawy and Magdy Bayoumi, “Leakage sources and possible solutions in nanometer CMOS technologies”, IEEE Circuits and Systems Magazine, Volume 5, Issue 4, Fourth Quarter 2005 pp. 6 – 17.
  • Ruth Aguilar-Ponce, Ashok Kumar, Jose-Luis Tecpanectl-Xihuitl, and Magdy Bayoumi, “A Network of Sensors Based Framework for Automated Visual Surveillance”, Accepted, Journal of Networks and Computer Applications, Elsevier (scheduled publication in 2006).
  • Ashok Kumar, Magdy A. Bayoumi, and Mohamed A. Elgamel, “A Methodology for Low Power Scheduling with Resources Operating at Multiple Voltages,” Integration VLSI Journal, vol. 37, Issue 1, pp. 29-62, Feb 2004.
  • Hanan Mahmoud, Sumeer Goel, Mohsen Shaaban and Magdy Bayoumi, "Multi-Stage Interval-Based Motion Estimation (MIME) Algorithm" To appear on The Journal of VLSI Signal Processing Systems. Kluwer Academic Publishers, 2nd Quarter 2004.
  • P. Zhao, T. Darwish, M. Bayoumi, “High Performance and Low Power Conditional Discharge Flip-Flop,” IEEE Transactions on Very Large Scale Integration (VLSI) Systems, May 2004.